Material Sciences
Vol. 11  No. 12 ( 2021 ), Article ID: 47136 , 10 pages
10.12677/MS.2021.1112143

二维MoSe2连续薄膜的化学气相沉积制备和退火研究

石晓静,邓加军*,申媛媛,王文杰,卢芳超,高杰,李若楠,丁迅雷

华北电力大学,数理学院,北京

收稿日期:2021年11月19日;录用日期:2021年12月6日;发布日期:2021年12月13日

摘要

作为二维材料家族的典型代表,二维过渡金属硫族化合物在过去的十年受到了人们的广泛关注。二维MoSe2是二维过渡金属硫化物中的重要一员,凭借其优异的电学和光学特性在多个领域中展现出巨大的应用潜力。本文采用化学气相沉积(chemical vapor deposition, CVD)法,通过不断优化实验参量,在同一衬底不同位置制备出了不同形状的MoSe2薄膜,其中部分区域生长出大面积的MoSe2双层连续薄膜,尺寸达到毫米级。CVD方法生长的MoSe2薄膜不可避免地存在许多缺陷,这严重影响了其电学和光学性能。本文我们对MoSe2连续薄膜进行了不同气氛和不同温度下的退火研究,实验表明在合适温度下退火可以有效提高CVD生长MoSe2薄膜的晶体质量和光学性能。同时对基于MoSe2双层连续薄膜的场效应管进行了退火前后电学特性研究,发现适当退火可以有效地提高器件的开关比和场效应迁移率。

关键词

MoSe2薄膜,拉曼光谱,光致发光光谱,退火

Preparation and Annealing of Two-Dimensional MoSe2 Continuous Films by Chemical Vapor Deposition

Xiaojing Shi, Jiajun Deng*, Yuanyuan Shen, Wenjie Wang, Fangchao Lu, Jie Gao, Ruonan Li, Xunlei Ding

School of Mathematics and Physics, North China Electric Power University, Beijing

Received: Nov. 19th, 2021; accepted: Dec. 6th, 2021; published: Dec. 13th, 2021

ABSTRACT

As a typical representative of the two-dimensional materials family, two-dimensional transition metal chalcogenides have gained extensive interest in the past decade. MoSe2 is one of the most important transition metal sulfides, showing great application potential in many fields due to its excellent electrical and optical properties. MoSe2 films with different shapes were synthesized on the same substrate at different locations by continuously optimizing the experimental parameters in the chemical vapor deposition (CVD). In some regions, large and continuous MoSe2 bilayer films were grown with the size of mm. It is inevitable that MoSe2 thin films grown by CVD have many defects, which seriously affect their electrical and optical properties. In this paper, we have studied the annealing of MoSe2 continuous films under different atmosphere and different temperature. Annealing at appropriate temperature can effectively improve the crystal quality and optical properties of MoSe2 films grown by CVD. At the same time, the electrical properties of the FET based on MoSe2 continuous film were measured before and after annealing. The ON/OFF ratio and the mobility were improved obviously after annealing.

Keywords:MoSe2 Films, Raman Spectroscopy, Photoluminescence Spectroscopy, Annealing

Copyright © 2021 by author(s) and Hans Publishers Inc.

This work is licensed under the Creative Commons Attribution International License (CC BY 4.0).

http://creativecommons.org/licenses/by/4.0/

1. 引言

二维过渡金属硫族化合物(two-dimensional transition metal dichalcogenides, 2D-TMDCs)是继石墨烯之后,又一类重要的2D材料 [1] - [6]。由于其优异的半导体性质,TMDCs可以克服石墨烯零带隙的缺点,使其在催化析氢、场效应晶体管器件、非线性光学特性研究等领域应用广泛 [7] - [13],引起了人们的极大兴趣 [14] [15]。MoSe2作为过渡金属硫化物中的重要一员,凭借其优异的电学和光学特性被广大研究者探索。单层的MoSe2厚度大约为0.7 nm,具有直接带隙,导致其在光电器件的应用上显著优于块体材料。但是,MoSe2的大面积制备仍是走向应用的严重障碍。

目前实验室制备MoSe2常见的几种代表性方法为:机械剥离法、液相剥离法、分子束外延法和化学气相沉积法(chemical vapor deposition, CVD)。但综合来看目前CVD方法是最有效的制备方法 [16] [17] [18]。以往的研究表明,该方法可以制备高质量的单层MoSe2和少层MoSe2 [19] [20] [21] [22] [23]。其中,J. C. Shaw等 [24] 人在2014年首次利用CVD方法在300 nm SiO2/Si衬底上成功生长出尺寸达30 µm的单层MoSe2;同年Wang等人利用同样的方法合成了尺寸超过100 mm的均匀的单晶三角形MoSe2 [25]。此外,Li等 [26] 用CVD方法得到了不同形貌的单层MoSe2,并研究了其形成机制。

尽管在CVD制备MoSe2单层方面已经取得了一些成功,但大面积制备仍是目前最大的挑战。为了获得实际应用,制备层数可控的大面积和高质量的MoSe2,是目前需要进一步实验的方向和目标。本文通过优化生长参数,在同一衬底不同位置制备出了不同形状的MoSe2薄膜,其中部分区域生长出大面积的MoSe2连续薄膜,尺寸达到毫米级,并对合成的样品进行形貌及结构表征,进一步证实所合成的材料晶体质量良好。同时,为了降低缺陷和改善晶体质量,进而提高其电学和光学性能,我们对CVD法制备的MoSe2连续薄膜进行了不同气氛下的退火研究,结果表明在合适温度下退火可以有效提高CVD生长的MoSe2薄膜的晶体质量和光学性质。此外,我们制备了基于二维MoSe2的场效应管,同时通过200℃真空退火,降低金属电极与MoSe2之间的接触势垒,改进金属与半导体之间的接触性能。获得的二维MoSe2场效应晶体管具有小的接触电阻,其开关比和室温迁移率分别为5.4 × 103和15 cm2/(V·s)。

2. 实验

2.1. CVD法制备MoSe2薄膜

样品的生长过程都是在一个内径为2.5英寸的三温区高温管式炉内完成,如图1(a)所示。实验过程中将Se以及MoO3 (99.99%)作为反应前驱体,分别置于炉内第一温区和第二温区(800℃)。覆盖有300 nm SiO2层的Si片作为样品的沉积衬底,清洗干净的SiO2/Si衬底倒扣在装有MoO3粉末的瓷舟上。由于Se具有比S更低的化学反应活性,使得合成基于Se的TMDs (MSe2,其中M = Mo, W)比合成MS2更加困难。因此在反应过程中,我们使用H2和Ar的混合气体作为载流气体(H2的体积分数为6%)。载流气体携带上游蒸发为气态的Se到达反应区与气态的MoO3在设定的生长温度下发生化学反应,生成的MoSe2沉积在衬底上,形成MoSe2薄膜。生长过程中混合载流气体流速保持在150 sccm,气压稳定在60,000 Pa。第二温区和第三温区的升温速率设定为16℃/min,当石英管中心(即MoO3粉末处)温度到达580℃时,开始加热Se源,让三个温区同时到达程序设定温度(第一温区设定温度350℃,第二和三温区设定温度均为800℃),保持时间10分钟,恒温时间结束后,关闭载流气体,炉子自然冷却到室温。

Figure 1. CVD preparation of two-dimensional MoSe2 films: (a) schematic illustration for the fabrication of 2D MoSe2 films by CVD method; (b) the optical microscopy image of the inner side of the substrate at the junction of the porcelain boat; (c) the optical microscopy image of the outer and away from side of the substrate at the porcelain boat; (d) the optical microscopy image of the substrate portion directly above the MoO3 powder.

图1. 二维MoSe2薄膜的CVD制备:(a) CVD法制备MoSe2薄膜的装置示意图;(b)瓷舟交界的衬底内边缘处;(c) 瓷舟外且远离瓷舟的衬底边缘;(d) 处于MoO3粉末的正上方的衬底部分

2.2. MoSe2基背栅场效应晶体管的制作

在实验中,我们利用显微探针转移系统制备MoSe2基场效应晶体管。首先在具有金属网格的SiO2/Si衬底上先后蒸镀Pd金属薄膜和Au金属薄膜,作为晶体管器件的金属电极;然后去除金属网格,使得金属电极裸露出来;最后使用显微探针转移系统将金属电极转移至MoSe2表面,形成源电极和漏电极。为了实现电极和MoSe2薄膜样品的良好接触,我们将制作好的器件在管式炉中进行真空退火,退火温度为200℃。

2.3. 材料表征方法

我们利用金相光学显微镜(Optical Microscope, OM)、原子力显微镜(Atomic Force Microscope, AFM)、拉曼光谱(Raman)、以及光致发光谱(Photoluminescence, PL)对样品的形貌、尺寸、厚度和光学特性进行了表征。其中拉曼光谱和光致发光谱的激发波长为532 nm,激光功率保持在1 mw以下。利用半导体参数分析仪(Semiconductor Parameter Analyzer)对MoSe2基背栅场效应晶体管的电学特性进行了表征。所有测试在室温环境下完成。

本实验使用的实验仪器与材料信息具体见表1

Table 1. Instruments and materials used in the experiment

表1. 实验室所用仪器与材料

3. 结果与讨论

通过优化实验参数我们发现,衬底不同位置有不同形貌的MoSe2薄膜生成。如图1(b)所示,在与瓷舟交界的衬底内边缘处生长出大面积且表面很干净的MoSe2连续薄膜,尺寸达到毫米量级。在瓷舟外且远离瓷舟的衬底边缘部分生长出不同厚度的三角形MoSe2薄膜(如图1(c)所示)。而处于MoO3粉末的正上方的衬底部分也生长出大面积MoSe2连续薄膜,但薄膜表面沉积了大量未被完全硒化的MoO2 (如图1(d)所示)。本文我们重点研究了衬底内边缘处生长出的大面积且表面很干净的MoSe2连续薄膜。

为了比较,我们首先研究了三角形状的MoSe2薄膜。图2(a)和图2(b)是三角形MoSe2的Raman谱和光致发光谱(PL),其中图2(a)中插图为对应三角薄膜的OM图像。从图3(a)可以看出,从1到2再到3的位置,A1g峰位蓝移而E2g峰位红移,对应强度依次增强。从A1g峰位可以判断出,位置1、2和3处厚度分别为单层、双层和三层。图2(b)给出图2(a)中三个位置的光致发光谱(PL),位置1、2和3处的发光峰分别位于797 nm、806 nm和825 nm处,与单层、双层和三层MoSe2薄膜的能隙一致。此外,从图2(b)可以明显看出,位置1的发光强度远大于位置2和3,这归因于单层MoSe2薄膜为直接带隙,而双层和三层MoSe2薄膜为间接带隙的缘故。原子力显微镜(AFM)测量进一步证明位置1、2和3分别对应单层、双层和三层,且单层MoSe2的层厚约为0.7 nm (见图2(c)和图2(d))。

Figure 2. Characterization of triangular MoSe2 film: (a) and (b) Raman and PL spectra of triangular-MoSe2 films at positions 1, 2 and 3, respectively. The inset in figure (a) is the OM image of the tested sample; (c) and (d) show the AFM image and height diagram of the sample respectively

图2. 三角形MoSe2薄膜的表征:(a)和(b)分别三角形MoSe2薄膜中位置1、2和3处Raman和PL谱图,(a)中插图为所测样品的OM图像;(c)和(d)分别为样品的AFM图和高度图

图3(a)给出了MoSe2连续薄膜的Raman谱,其中插图为样品的OM图像。为了便于区分衬底与样品,用探针在样品表面划了一条划痕。位置1和2处的Raman特征峰的位置与三角形双层MoSe2一致,说明了其为双层膜,且厚度较为均匀。位置1和2处的发光峰位置也与三角形MoSe2双层一致,但其发光强度要大于后者(如图3(b))。图3(c)和图3(d)分别显示了大面积薄膜状MoSe2的AFM示意图和对应的高度图,测量表明这种大面积MoSe2连续薄膜厚度为双层,进一步验证了Raman和PL谱的测量结果。

Figure 3. Characterization of large area MoSe2 continuous film: (a) and (b) Raman and PL spectra of MoSe2 continuous film at positions 1 and 2, respectively. The inset in (a) is the local OM image of the tested sample; (c) and (d) show the AFM image and height diagram of the sample, respectively

图3. 大面积MoSe2连续薄膜的表征:(a)和(b) MoSe2连续薄膜中位置1处和2处的Raman和PL谱图,(a)中插图为所测连续薄膜局部OM图像;(c)和(d)分别为样品的AFM图和高度图

为了验证合成的是均匀的大面积MoSe2薄膜,我们在薄膜上选择了11个不同区域进行了Raman光谱和PL光谱测试。如图4(a)~(d)所示,MoSe2连续薄膜上不同位置的A1g峰和PL峰峰位基本上保持一致。从图4(c)和图4(d)可以看出,A1g峰峰位在240.5 cm-1附近变化,相应的半高宽(FWHM)均在4.9 cm-1附近,具有较小的偏差;PL峰峰位均在808 nm (对应带隙为1.53 eV)左右,变化很小,而且PL峰的半高宽基本不变。这些结果表明,在与瓷舟交界的衬底内边缘处生长出的大面积MoSe2连续薄膜为双层,且具有极好的均匀性。同时说明利用CVD方法,通过优化生长参数可以合成均匀的、大面积的MoSe2薄膜。

Figure 4. The optical test of 11 different areas in large area MoSe2 continuous film: (a) Raman spectra; (b) Photoluminescence spectra; (c) Peak positions of A1g and corresponding FWHM values; (d) The positions of the photoluminescence peak and the corresponding FWHM values

图4. 大面积MoSe2连续薄膜的11个不同位置的光学测试:(a) 拉曼光谱;(b) 光致发光光谱,(c) A1g峰峰位和相应的半高宽值,(d) 光致发光峰特征峰的峰位和相应的半高宽值

已有研究表明,CVD方法生长的MoSe2薄膜存在许多缺陷,这严重影响了其电学和光学性能。众所周知,退火是降低缺陷和改善晶体质量最简单而行之有效的方法。我们对双层MoSe2连续薄膜进行了不同气氛和温度(T)下的退火研究。实验结果发现(见图5),在空气和真空和两种不同氛围下的最佳退火温度分别为200℃,300℃。MoSe2的A1g峰和PL峰峰位以及半高宽变化如图5所示。在退火温度低于最佳退火温度时,随着退火温度的升高,在空气氛围中如图5(a)和图5(c),拉曼谱A1g峰位和PL峰发生红移且强度增大,拉曼峰半高宽变化较小,而在真空中如图5(b)和图5(d),PL峰发生红移且强度增大,而拉曼峰强度增大,半高宽变小,但峰位没有明显变化,与空气中的退火结果相比,该现象可能是由于样品表面吸附了空气中的氮气从而引起了MoSe2的电子掺杂所导致的 [1],所以A1g特征峰将会向低波数移动,即特征峰发生了红移的现象。其次,从拉曼光谱和PL谱可以看出如图5所示,当退火温度高于最佳退火温度时,两种氛围下退火后的薄膜质量均下降。由此可见,在合适温度下退火可以有效提高CVD生长的MoSe2薄膜的晶体质量和光学性能。

Figure 5. The optical test of double-layer MoSe2 continuous film before and after annealing in different ambients: (a) and (b) show Raman spectra before and after annealing in air and vacuum, respectively; (c) and (d) show PL spectra before and after annealing in air and vacuum, respectively

图5. 双层MoSe2连续薄膜不同氛围中退火前后的光学测试:(a)和(b)分别为空气和真空氛围中退火前后的拉曼光谱;(c)和(d)分别为空气和真空氛围中退火前后的PL谱

为了研究退火对MoSe2连续薄膜电学性质的影响,我们制作了基于MoSe2连续薄膜的具有背栅结构的场效应晶体管(如图6(a)插图所示),并测量了该器件在退火前后的电学特性。图6(a)和图6(b)给出了Vds = −1 V时器件退火后和退火前的转移特性曲线,说明我们制备出的MoSe2连续薄膜具有N型特性,与之前报道的基于CVD生长的少层MoSe2背栅结构场效应晶体管的电学行为相一致。根据器件的转移特性曲线,由下列公式可以估算出我们制备的器件的载流子迁移率μ [27]。

μ = I d s V g 1 C i L W 1 V d s (1)

C i = ε 0 ε r d (2)

其中, C i 表表示栅介质中SiO2的电容, ε 0 表示真空介电常数(8.85 × 10−12 F/m), ε r 是SiO2的相对介电常数,d为SiO2层的厚度(300 nm),L和W分别表示基于MoSe2连续薄膜的场效应晶体管沟道的长(59.6 μm)和宽(49.6 μm),Ids和Vds分别表示源漏之间的电流和电压,Vg为晶体管栅压。利用公式(2)可以得出Ci = 1.16 × 10−8 Fcm−2,通过转移曲线可以得出 I d s / V g ,进而由公式(1)得出退火前后MoSe2场效应晶体管的迁移率分别为0.675 cm2/(V·s)和15 cm2/(V·s)。此外,从图6(a)和图6(b)计算出Vds = −1 V时退火前后的晶体管的电流开关比分别为4.8 × 101和5.4 × 103。测量结果与利用剥离的MoSe2少层构建的相同背栅结构的晶体管的结果基本一致 [28],从而进一步证明了我们制备出的MoSe2薄膜具有较高的质量。此外,从测量和计算结果不难发现,退火后晶体管的迁移率扩大了22倍,开关比提高了两个量级,说明退火后器件的性能得到了明显改善。导致迁移率和开关比明显提高的主要原因可能是:1) 退火导致Se空位减少;2) 退火过程改善了金属电极与MoSe2薄膜之间界面接触,使得局部原子重新排列,降低了界面处由于晶格失配引入的缺陷 [29]。图6(c)和图6(d)分别显示了器件在不同的正栅电压和负栅电压下的输出特性。正栅电压时,Ids随Vg的增大而增大;负栅电压时,Ids | V g | 的增大而减小,反映了器件的N型特性。此外,输出曲线并非线性的,在负的和正的Vds下测量的 I d s V d s 曲线也存在不对称,表明电极与MoSe2薄膜接触处可能存在肖特基势垒,这可能是由费米能级钉扎造成的 [30]。进一步改善器件的整体性能可以通过提高样品的结晶质量来实现或通过沉积高k顶栅电介质,屏蔽库伦散射对其的影响。

Figure 6. Large area and continuous double-layer MoSe2 film FET: (a) The transfer curve after annealing at Vds = −1 V and the corresponding logarithmic coordinates of the transfer curve; (b) The transfer curve before annealing at Vds = −1 V and the corresponding logarithmic coordinates of the transfer curve; (c) and (d) output curves under different positive and negative gate pressures respectively

图6. 大面积双层MoSe2连续薄膜场效应晶体管:(a) Vds = −1 V退火后转移曲线以及相应的转移曲线对数坐标;(b) Vds = −1 V退火前转移曲线以及相应的转移曲线对数坐标;(c)和(d)分别为退火后不同正负栅压下的输出曲线

4. 结论

综上所述,我们利用CVD方法,通过优化生长参数,在同一衬底的不同区域合成了尺寸和形貌不同的MoSe2薄膜,其中部分区域生长出厚度为双层,尺寸达到1 mm的MoSe2连续薄膜。通过拉曼光谱和光致发光谱研究发现,这种连续薄膜厚度均匀。通过对比在空气、真空和氩气三种不同氛围下的退火后的Raman和PL谱,我们发现合适温度下退火可以有效提高CVD生长的MoSe2连续薄膜的晶体质量和光学性能,且在真空中退火效果最佳。用CVD生长的MoSe2连续薄膜制作的背栅结构的场效应晶体管退火后的迁移率为15 cm2/(V·s),电流开关比为5.4 × 103,表明退火可以有效地提高二维MoSe2的电学性能。

基金项目

国家自然科学基金(批准号:JB2020054)和中央高校基本科研业务专项资金项目(批准号:JB2019051)资助的课题。

文章引用

石晓静,邓加军,申媛媛,王文杰,卢芳超,高 杰,李若楠,丁迅雷. 二维MoSe2连续薄膜的化学气相沉积制备和退火研究
Preparation and Annealing of Two-Dimensional MoSe2 Continuous Films by Chemical Vapor Deposition[J]. 材料科学, 2021, 11(12): 1234-1243. https://doi.org/10.12677/MS.2021.1112143

参考文献

  1. 1. 吴元军, 申超, 谭青海, 张俊, 谭平恒, 郑厚植. 基于磁圆二向色谱的单层MoS2激子能量和线宽温度依赖特性[J]. 物理学报, 2018 , 67(14): 9.

  2. 2. Manzeli, S., Ovchinnikov, D., Pasquier, D., et al. (2017) 2D Transition Metal Dichalcogenides. Nature Reviews Materials, 2, 17033. https://doi.org/10.1038/natrevmats.2017.33

  3. 3. Eftekhari, A. (2017) Molybdenum Diselenide (MoSe2) for Energy Storage, Catalysis, and Optoelectronics. Applied Materials To-day, 8, 1-17. https://doi.org/10.1016/j.apmt.2017.01.006

  4. 4. Tan, C.L., Cao, X.H., Wu, X.J., et al. (2017) Recent Advances in Ultrathin Two-Dimensional Nanomaterials. Chemical Reviews, 117, 6225-6331. https://doi.org/10.1021/acs.chemrev.6b00558

  5. 5. 魏争, 王琴琴, 郭玉拓, 李佳蔚, 时东霞, 张广宇. 高质量单层二硫化钼薄膜的研究进展[J]. 物理学报, 2018, 67(12): 263-295.

  6. 6. Wong, S.L., Liu, H.F. and Chi, D.Z. (2016) Recent Progress in Chemical Vapor Deposition Growth of Two-Dimensional Transition metal Dichalcogenides. Pro-gress in Crystal Growth and Characterization of Materials, 62, 9-28. https://doi.org/10.1016/j.pcrysgrow.2016.06.002

  7. 7. Ovchinnikov, D., Allain, A., Huang, Y.S., Dumcenco, D. and Kis, A. (2014) Electrical Transport Properties of Single-Layer WS2. ACS Nano, 8, 8174-8181. https://doi.org/10.1021/nn502362b

  8. 8. Magnozzi, M., Ferrera, M., Piccinini, G., et al. (2020) Optical Dielectric Function of Two-Dimensional WS2 on Epitaxial Graphene. 2D Materials, 7, Article ID: 025024. https://doi.org/10.1088/2053-1583/ab6f0b

  9. 9. Manzeli, S., Ovchinnikov, D., Pasquier, D., et al. (2017) 2D Tran-sition Metal Dichalcogenides. Nature Reviews Materials, 2, 17033. https://doi.org/10.1038/natrevmats.2017.33

  10. 10. Chhowalla, M., Liu, Z. and Zhang, H. (2015) Two-Dimensional Transition Metal Dichalcogenide (TMD) Nanosheets. Chemical Society Reviews, 44, 2584-2586. https://doi.org/10.1039/C5CS90037A

  11. 11. Zhou, H.L., Wang, C., Shaw, J.C., et al. (2015) Large Area Growth and Electrical Properties of p-Type WSe2 Atomic Layers. Nano Letters, 15, 709-713. https://doi.org/10.1021/nl504256y

  12. 12. Obeid, M.M., Stampfl, C., Bafekry, A., et al. (2020) First-Principles Inves-tigation of Nonmetal Doped Single-Layer BiOBr as a Potential Photocatalyst with a Low Recombination Rate. Physical Chemistry Chemical Physics, 22, 15354-15364. https://doi.org/10.1039/D0CP02007A

  13. 13. Al-Abbas, S.S.A., Muhsin, M.K. and Jappor, H.R. (2019) Two-Dimensional GaTe Monolayer as a Potential Gas Sensor for SO2 and NO2 with Discriminate Optical Properties. Superlattices and Microstructures, 135, Article ID: 106245. https://doi.org/10.1016/j.spmi.2019.106245

  14. 14. Wang, H., Yu, L., Lee, Y.H., et al. (2012) Integrated Circuits Based on Bilayer MoS2 Transistors. Nano Letters, 12, 4674-4680. https://doi.org/10.1021/nl302015v

  15. 15. Radisavljevic, B., Whitwick, M.B. and Kis, A. (2011) Integrated Circuits and Logic Operations Based on Single-Layer MoS2. ACS Nano, 5, 9934-9938. https://doi.org/10.1021/nn203715c

  16. 16. Zhou, S.Y., Gweon, G.H., Fedorov, A.V., et al. (2007) Substrate-Induced Bandgap Opening in Epitaxial Graphene. Nature Materials, 6, 770-775. https://doi.org/10.1038/nmat2003

  17. 17. Tao, L., Cinquanta, E., Chiappe, D., et al. (2015) Silicene Field-Effect Tran-sistors Operating at Room Temperature. Nature Nanotechnology, 10, 227-231. https://doi.org/10.1038/nnano.2014.325

  18. 18. Derivaz, M., Dentel, D., Stephan, R., et al. (2015) Continuous Ger-manene Layer on A(111). Nano Letters, 15, 2510-2516. https://doi.org/10.1021/acs.nanolett.5b00085

  19. 19. Zhang, Y., Ji, Q., Ju, J., et al. (2013) Controlled Growth of High-Quality Monolayer WS2 Layers on Sapphire and Imaging Its Grain Boundary. ACS Nano, 7, 8963-8971. https://doi.org/10.1021/nn403454e

  20. 20. Lin, Y.C., Zhang, W., Huang, J.K., et al. (2012) Wafer-Scale MoS2 Thin Layers Prepared by MoO3 Sulfurization. Nanoscale, 4, 6637-6641. https://doi.org/10.1039/c2nr31833d

  21. 21. Huang, J.K., Pu, J., Chuu, C.P., et al. (2014) Graphene Nanoelectron-ics—From Synthesis to Device Applications. ACS Nano, 8, 923-930. https://doi.org/10.1021/nn405719x

  22. 22. Najmaei, S., Liu, Z., Zhou, W., et al. (2013) Vapour Phase Growth and Grain Boundary Structure of Molybdenum Disulphide Atomic Layers. Nature Materials, 12, 754-759. https://doi.org/10.1038/nmat3673

  23. 23. 王鑫, 王文杰, 邓加军, 叶晨骁, 王雅雅, 车剑韬, 丁迅雷. 化学气相沉积法控制合成单层MoSe2薄膜[J]. 中国科技论文, 2018, 13(18): 2095-2783.

  24. 24. Shaw, J.C., Zhou, H., Chen, Y., et al. (2014) Chemical Vapor Deposition Growth of Monolayer MoSe2 Nanosheets. Nano Research, 7, 511-517. https://doi.org/10.1007/s12274-014-0417-z

  25. 25. Wang, X., Gong, Y., Shi, G., et al. (2014) Chemical Vapor Depo-sition Growth of Crystalline Monolayer MoSe2. ACS Nano, 8, 5125-5131. https://doi.org/10.1021/nn501175k

  26. 26. Li, Y., Wang, F., Tang, D.X., et al. (2018) Controlled Synthesis of Highly Crystalline CVD-Derived Monolayer MoSe2 and Shape Evolution Mechanism. Materials Letters, 216, 261-264. https://doi.org/10.1016/j.matlet.2018.01.102

  27. 27. Fan, S., Yun, S.J., Yu, W.J., et al. (2020) Tailoring Quantum Tunneling in a Vanadium-Doped WSe2/SnSe2 Heterostructure. Advanced Science, 7, Article ID: 1902751. https://doi.org/10.1002/advs.201902751

  28. 28. Larentis, S., Fallahazad, B. and Tutuc, E. (2012) Field-Effect Tran-sistors and Intrinsic Mobility in Ultra-Thin MoSe2 Layers. Applied Physics Letters, 101, Article ID: 223104. https://doi.org/10.1063/1.4768218

  29. 29. Kim, M., Seo, J., Kim, J., et al. (2021) High-Crystalline Monolayer Transi-tion Metal Dichalcogenides Films for Wafer-Scale Electronics. ACS Nano, 15, 3038-3046. https://doi.org/10.1021/acsnano.0c09430

  30. 30. Li, Y., Zhang, K.L., Wang, F., et al. (2017) Scalable Synthesis of Highly Crystalline MoSe2 and Its Ambipolar Behavior. ACS Applied Materials & Interfaces, 9, 36009-36016. https://doi.org/10.1021/acsami.7b10693

  31. NOTES

    *通讯作者。

期刊菜单